Pomoc s kodem ve vhdl

Všeobecná sekce pro témata a příspěvky z oblasti IT či témata z blízkých oborů. Sekce pro uživatele, kteří nenalezli pro svůj IT dotaz tu správnou sekci.

Moderátoři: Mods_junior, Mods_senior

NaVry
nováček
Příspěvky: 7
Registrován: červen 16
Pohlaví: Muž
Stav:
Offline

Pomoc s kodem ve vhdl

Příspěvekod NaVry » 22 čer 2016 08:50

Zdravím,

potřeboval bych prosím Vás poradit, co který kod dělá a k čemu je, aspoň málo, jestli se v tom vyznáte, tak mi to pomůže děkuji.

Zadání projektu:

Vytvořte hrací automat ve VHDL.
AUtomat bude zobrazovat 4 měnící se symboly na dvou maticových LED displejích, hráč se bude snažit zastavit dva a více stejných symbolů pomocí tlačítka, automat bude za správné zastavení, automat bude za správné zastavení přičítat body a za špatné body odečítat.
-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
Kody k vysvětlení (jaká je jejich uloha atp.) - začínám je vypisovat od začátku
1)

1 library ieee;
2 use ieee.std_logic_1164.all;
3 use ieee.std_logic_unsigned.all;
4 use ieee.std_logic_arith.all;

2]

5
6 entity automat is
7 port
8 (
9 clk : in std_logic;
10 segment_1 : out std_logic_vector(7 downto 0);
11 segment_2 : out std_logic_vector(7 downto 0);
12 led_1 : out std_logic_vector(7 downto 0);
13 led_2 : out std_logic_vector(7 downto 0);
14 key : in std_logic
15 );
16 end automat;
--------------------------------------------------------------------------------------------
Dále tu přiložím soubory ve kterých jsou ty kody okroužkované.
Přílohy
13467698_2021343001423578_219394718_o.jpg
13493389_2021342928090252_856924539_o.jpg
13499394_2021342841423594_1460539419_o.jpg
13517809_2021343044756907_547441078_o.jpg
13517949_2021343078090237_651869575_o.jpg

Reklama
  • Mohlo by vás zajímat
    Odpovědi
    Zobrazení
    Poslední příspěvek
  • Pomoc se sestavením PC 45-50K
    od Kvp2 » 03 lis 2023 23:27 » v Rady s výběrem hw a sestavením PC
    22
    2667
    od Kvp2 Zobrazit poslední příspěvek
    12 lis 2023 20:39
  • pomoc z vyberom grafiky
    od mandes » 12 úno 2024 14:25 » v Rady s výběrem hw a sestavením PC
    6
    855
    od Vlada47 Zobrazit poslední příspěvek
    13 úno 2024 18:00
  • pomoc v oblasti grafiky
    od showpayne » 07 srp 2023 19:08 » v Vše ostatní (Off topic)
    0
    1456
    od showpayne Zobrazit poslední příspěvek
    07 srp 2023 19:08
  • Pomoc s bricknutým telefonem
    od TakovejTypek5 » 05 bře 2024 14:29 » v Mobily, tablety a jiná přenosná zařízení
    24
    2332
    od rhsCZ Zobrazit poslední příspěvek
    08 bře 2024 20:16
  • Pomoc s výběrem Linuxu
    od Luis » 02 pro 2023 14:33 » v LiNuX a ostatní alternativní OS
    5
    4234
    od KubaCZE Zobrazit poslední příspěvek
    20 úno 2024 11:05

Zpět na “Vše ostatní (z oblasti IT)”

Kdo je online

Uživatelé prohlížející si toto fórum: Žádní registrovaní uživatelé a 13 hostů